电子系统设计 共有7条记录 共耗时[0.000]秒
页码:1/1    每页显示:10 记录 跳转:
作者:"王金明"
  • 正在加载图片,请稍后......

    数字系统设计与Verilog HDL:王金明

    作者:王金明 出版社:电子工业出版社 出版时间:20190101 ISBN:978-7-121-35614-8
    索书号:TN79/25\7 分类号:TN79 页数:X, 398页 价格:CNY58.00
    复本数: 在馆数:
    累借天数: 累借次数:
    本书根据EDA课程教学要求,以提高数字系统设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、Verilog硬件描述语言等。全书以QuartusPrime、ModelSim软件为平台,以Verilog-1995和Verilog-2001语言标准为依据,以可综合的设计为重点,通过大量经过验证的数字设计实例,阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与技能。本书着眼于实用,紧密联系教学实际,实例丰富。全书深入浅出,概念清晰,语言流畅。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    数字系统设计与Verilog HDL:王金明

    作者:王金明 出版社:电子工业出版社 出版时间:20160101 ISBN:978-7-121-30097-4
    索书号:TN79/25\6 分类号:TN79 页数:XI, 390页 价格:CNY49.90
    复本数: 在馆数:
    累借天数: 累借次数:
    本书根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、Verilog硬件描述语言等。全书以Quartus Prime、Synplify Pro软件为平台,以Verilog—1995和Verilog—2001语言标准为依据,以可综合的设计为重点,通过大量经过验证的数字设计实例,阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与技能。本书的特点是:着眼于实用,紧密联系教学实际,实例丰富。全书深入浅出,概念清晰,语言流畅。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    数字系统设计与Verilog HDL:王金明

    作者:王金明 出版社:电子工业出版社 出版时间:20140101 ISBN:978-7-121-22537-6
    索书号:TN79/25\5 分类号:TN79 页数:11, 399页 价格:CNY49.00
    复本数: 在馆数:
    累借天数: 累借次数:
    本书根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、Verilog硬件描述语言等。全书以Quartus Ⅱ、Synplify Pro软件为平台,以Verilog-1995和Verilog-2001语言标准为依据,以可综合的设计为重点,通过大量经过验证的数字设计实例,阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与技能。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    数字系统设计与Verilog HDL:王金明

    作者:王金明 出版社:电子工业出版社 出版时间:20210101 ISBN:978-7-121-40233-3
    索书号:TN79/25\8 分类号:TN79 页数:X, 355页 价格:CNY58.00
    复本数: 在馆数:
    累借天数: 累借次数:
    本书根据EDA课程教学要求,以提高数字系统设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、Verilog硬件描述语言等。全书以Quartus Prime、ModelSim软件为工具,以Verilog-1995和Verilog-2001语言标准为依据,以可综合的设计为重点,通过诸多精选设计案例,阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与技能。本书着眼于实用,紧密联系教学实际,实例丰富。全书深入浅出,概念清晰,语言流畅。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    数字系统设计与Verilog HDL:王金明

    作者:王金明 出版社:电子工业出版社 出版时间:20110101 ISBN:978-7-121-12425-9
    索书号:TN79/25\4 分类号:TN79 页数:397页 价格:CNY39.90
    丛书:EDA工具应用丛书
    复本数: 在馆数:
    累借天数: 累借次数:
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    数字系统设计与Verilog HDL:王金明

    作者:王金明 出版社:电子工业出版社 出版时间:20090101 ISBN:978-7-121-07990-0
    索书号:TP271/43\3 分类号:TP271 页数:12, 333页 价格:CNY39.80
    丛书:EDA工具应用丛书
    复本数: 在馆数:
    累借天数: 累借次数:
    本书系统介绍了数字系统设计相关的知识,主要内容包括:EDA技术、FPGA/CPLD器件、Verilog硬件描述语言等。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    数字系统设计与Verilog HDL(第2版):王金明

    作者:王金明 出版社:电子工业出版社 出版时间:20060101 ISBN:7-121-01494-7
    索书号:TN79/25\2 分类号:TN79 页数:XII, 371页 价格:CNY35.00
    丛书:EDA工具应用丛书
    复本数: 在馆数:
    累借天数: 累借次数:
    本书以具体的实例,详细介绍用Quartus II、MAX+plus II软件进行原理图设计和文本设计开发的过程,对Synplify Pro/Synplify、ModelSim等专用开发工具的使用与相互接口也做了介绍。
    详细信息
    索书号 展开
缩小检索范围
电子系统设计 共有7条记录 共耗时[0.000]秒
页码:1/1    每页显示:10 记录 跳转: