正在加载图片,请稍后......

数字系统设计实践教程/王巍, 姚亚峰

  • 附件:
  • 附注提要
    本书首先介绍了进行数字系统设计必须具备的基础知识, 包括介绍了数字系统设计流程, verilog HDL语言基础以及modelsim、quartusII等基本工具软件的使用。接着重点描述了6个实验内容。每个实验内容都都分8个方面进行介绍, 包括预习内容、实验目的、实验器材、实验要求、实验原理与内容、实验步骤、实验报告、问题和思考等。最后提供了各个实验内容的电路设计参考代码和参考测试激励等。本书内容详实, 实验设置符合由易到难、循序渐进的学规律, 能够引起学生对数字系统设计的兴趣。
    (0)|| (0)

    手机二维条形码

    馆藏信息
    序号 索书号 条码号 订户 馆藏地点 馆藏状态 借出日期 还回日期 流通类型 预约处理 卷册说明 登录号
    1 TP271/71 A1485819 HDFT 中区分馆 入藏 外借图书 0
    2 TP271/71 A1485818 HDFT 嘉鱼分馆 入藏 外借图书 0