电子系统设计 共有833条记录 共耗时[0.000]秒
页码:14/84    每页显示:10 记录 9 7 11 12 13 14 15 16 17 18 19 20  8 : 跳转:
  • 正在加载图片,请稍后......

    嵌入式系统芯片设计: 基于CKCPU:张培勇, 严晓浪

    作者:张培勇, 严晓浪 出版社:电子工业出版社 出版时间:20190101 ISBN:978-7-121-34929-4
    索书号:TN430.2/8 分类号:TN430.2 页数:402页 价格:CNY72.00
    丛书:微电子与集成电路设计系列规划教材
    复本数: 在馆数:
    累借天数: 累借次数:
    本书介绍基于中天微国产集成电路AMBA/AXI总线嵌入式CPU片上系统 (System on Chip, SoC) 硬件电路设计, 通过一系列相关实验构建完整的SoC硬件电路。主要内容包括: CK-CPU简介、SoC芯片设计入门、AXI总线协议、AXI master模块设计、并行接口LCD和摄像头控制模块设计、AXI IIC设计、SPI模块设计、AHB总线CK803、MIPI全高清摄像SoC设计、运动控制与中断、MP3播放器设计、MJPEG视频播放器设计等。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    基于Verilog HDL的数字系统设计与实现:赵科, 鞠艳杰

    作者:赵科, 鞠艳杰 出版社:电子工业出版社 出版时间:20190101 ISBN:978-7-121-35713-8
    索书号:TP312VH/64 分类号:TP312VH 页数:231页 价格:CNY39.80
    复本数: 在馆数:
    累借天数: 累借次数:
    全书共四个部分:第一部分为基础篇,介绍了数字系统设计所使用的软、硬件平台和硬件描述语言;第二部分为入门篇,讲解了组合逻辑电路和时序逻辑电路的设计方法;第三部分为提高篇,详细讲解了状态机的设计方法、IP封装及原理图调用、常用接口电路设计;第四部分为应用篇,阐述了复杂数字电路的设计思路与方法。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    光电系统设计: 方法、实用技术及应用:吴晗平

    作者:吴晗平 出版社:清华大学出版社 出版时间:20190101 ISBN:978-7-302-51892-1
    索书号:TN202/2 分类号:TN202 页数:11,460页 价格:CNY99.00
    丛书:清华开发者书库
    复本数: 在馆数:
    累借天数: 累借次数:
    本书基于光学、机械结构、电子、计算机、软件、控制等方面的综合一体化思路,论述了光电系统设计的方法与实践。全书共分12章,内容包括光电系统及其设计概要、目标与环境辐射及其工程计算、辐射大气透过率的工程理论计算、光学系统及其设计、红外凝视成像系统及其工程技术设计、CCD与CMOS及其应用系统设计、光电微弱信号处理及设计、光电系统作用距离工程理论计算及总体技术设计、太阳能光伏发电及其系统设计、光电系统软件开发与设计、光电系统结构及模块化设计、光电伺服控制系统及设计。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    数字系统设计与Verilog HDL:王金明

    作者:王金明 出版社:电子工业出版社 出版时间:20190101 ISBN:978-7-121-35614-8
    索书号:TN79/25\7 分类号:TN79 页数:X, 398页 价格:CNY58.00
    复本数: 在馆数:
    累借天数: 累借次数:
    本书根据EDA课程教学要求,以提高数字系统设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、Verilog硬件描述语言等。全书以QuartusPrime、ModelSim软件为平台,以Verilog-1995和Verilog-2001语言标准为依据,以可综合的设计为重点,通过大量经过验证的数字设计实例,阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与技能。本书着眼于实用,紧密联系教学实际,实例丰富。全书深入浅出,概念清晰,语言流畅。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    STM32F0实战: 基于HAL库开发:高显生

    作者:高显生 出版社:机械工业出版社 出版时间:20190101 ISBN:978-7-111-61296-4
    索书号:TP368.1/1195 分类号:TP368.1 页数:xi, 742页 价格:CNY129.00
    丛书:电子与嵌入式系统设计丛书
    复本数: 在馆数:
    累借天数: 累借次数:
    作为STM32单片机的入门者, 往往在从寄存器开发还是从固件库开发上纠结不定, 本书将从市场上非常畅销的F0系列微控制器入手, 利用意法公司新近推出的一款全新的开发软件-STM32CubeMX做为项目建立和代码初始化工具, 快速生成F0系列的开发环境, 并在MDK- ARM软件上对代码进行进一步的编辑修改, 直至生成最终的开发项目。本书将使用STM32CubeMX软件自带的HAL固件库来开发, 书内附HAL库详解, HAL库不同与以往的标准外设库, 是意法公司最新推出的替代标准外设库的产品。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    Xilinx FPGA数字信号处理系统设计指南: 从HDL、Simulink到HLS的实现:何宾, 张艳辉

    作者:何宾, 张艳辉 出版社:电子工业出版社 出版时间:20190101 ISBN:978-7-121-34747-4
    索书号:TN911.72/437 分类号:TN911.72 页数:XX, 804页 价格:CNY188.00
    丛书:电子系统EDA新技术丛书
    复本数: 在馆数:
    累借天数: 累借次数:
    本书共5篇21章,内容包括:信号处理理论基础,数字信号处理实现方法,数值的表示和运算,基于FPGA的数字信号处理的基本流程;CORDIC算法、离散傅里叶变换、快速傅里叶变换、离散余弦变换、FIR滤波器、IIR滤波器、重定时信号流图、多速率信号处理、串行和并行-串行FIR滤波器、多通道FIR滤波器以及其他常用数字滤波器的原理与实现;数控振荡器、通信信号处理和信号同步的原理与实现;递归结构信号流图的重定时,自适应信号处理的原理与实现;数字图像处理和动态视频拼接的原理与实现。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    STC单片机原理及应用: 从器件、汇编、C到操作系统的分析和设计:何宾

    作者:何宾 出版社:清华大学出版社 出版时间:20190101 ISBN:978-7-302-49233-7
    索书号:TP368.1/870\2 分类号:TP368.1 页数:25, 476页 价格:CNY89.00
    丛书:高等学校电子信息类专业系列教材
    复本数: 在馆数:
    累借天数: 累借次数:
    本书共分为17章, 主要内容包括:单片机和嵌入式系统基础知识, STC单片机硬件知识, STC单片机软件开发环境, 数值表示及转换, STC单片机架构, STC单片机CPU指令系统, STC单片机汇编语言编程模型, STC单片机C语言编程模型, STC单片机时钟、复位和电源模式原理及实现, STC单片机比较器原理及实现, STC单片机计数器和定时器原理及实现, STC单片机异步串行收发器原理及实现, STC单片机ADC原理及实现, STC单片机增强型PWM发生器原理及实现, STC单片机SPI原理及实现, STC单片机CCP/PCA/PWM模块原理及实现, RTX51操作系统原理及实现。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    Verilog HDL数字系统设计入门与应用实例:王忠礼

    作者:王忠礼 出版社:清华大学出版社 出版时间:20190101 ISBN:978-7-302-51130-4
    索书号:TP312VH/65 分类号:TP312VH 页数:xi, 381页 价格:CNY59.50
    丛书:教育部高等学校电子信息类专业教学指导委员会规划教材
    复本数: 在馆数:
    累借天数: 累借次数:
    本书系统地介绍了硬件描述语言Verilog HDL以及数字系统设计的相关知识, 主要内容包括EDA技术、FPGA/CPLD器件、Verilog HDL基础知识以及设计实例、基于FPGA/CPLD数字系统设计实例。书中各章都配备了思考与练习题。本书以应用为主, 突出实践性, 结构严谨, 书中的实例新颖、典型。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    数字逻辑电路与系统设计:蒋立平

    作者:蒋立平 出版社:电子工业出版社 出版时间:20190101 ISBN:978-7-121-35221-8
    索书号:TN790.2/6\3 分类号:TN790.2 页数:310页 价格:CNY55.90
    复本数: 在馆数:
    累借天数: 累借次数:
    本教材系统地介绍了数字逻辑电路的基本概念、基本理论、基本方法, 以及常用数字逻辑部件的功能和应用。主要内容包括: 数字逻辑基础、逻辑门电路、组合逻辑电路、常用组合逻辑功能器件、时序逻辑电路、常用时序逻辑功能器件、半导体存储器和可编程逻辑器件、脉冲信号的产生与整形、数模和模数转换。本教材将硬件描述语言的介绍渗透于各个章节。本教材理论联系实际、循序渐进、便于教学。全书叙述简明, 概念清楚; 知识结构合理, 重点突出; 深入浅出, 通俗易懂, 图文并茂; 例题、习题丰富, 各章还配有复习思考题。
    详细信息
    索书号 展开
  • 正在加载图片,请稍后......

    系统与芯片ESD防护的协同设计:(美) 弗拉迪斯拉夫·瓦什琴科, (比) 米尔科·肖尔茨, Vladislav Vashchenko, Mirko Scholz, 韩雁, 丁扣宝, 张世峰

    作者:(美) 弗拉迪斯拉夫·瓦什琴科, (比) 米尔科·肖尔茨, Vladislav Vashchenko, Mirko Scholz, 韩雁, 丁扣宝, 张世峰 出版社:机械工业出版社 出版时间:20190101 ISBN:978-7-111-61919-2
    索书号:TN430.2/9 分类号:TN430.2 页数:12, 255页 价格:CNY79.00
    丛书:电子电气工程师技术丛书
    复本数: 在馆数:
    累借天数: 累借次数:
    本书全面讲述了与系统级ESD防护相关的模拟集成电路和系统设计, 聚焦于带有嵌入式片上系统级防护的半导体集成电路(IC)器件以及IC与系统的协同设计两个方面, 从而减少或完全消除对印制电路板(PCB)上附加的、分立的器件的需求, 同时又满足系统级ESD防护的需要, 以培养读者为集成电路提供系统级ESD防护解决方案的能力。
    详细信息
    索书号 展开
缩小检索范围
电子系统设计 共有833条记录 共耗时[0.000]秒
页码:14/84    每页显示:10 记录 9 7 11 12 13 14 15 16 17 18 19 20  8 : 跳转: